yosys.git
2019-09-11 Marcin KościelnickiAdd -match-init option to dff2dffs.
2019-09-11 David ShahMerge pull request #1362 from xobs/smtbmc-msvc2-build...
2019-09-10 Clifford WolfBump version
2019-09-10 Sean Crosstests: ice40: fix div_mod SB_LUT4 count
2019-09-09 Eddie HungFix misspelling
2019-09-09 Sean Crosspasses: opt_share: don't statically initialize mergeabl...
2019-09-08 Sean Crossmsys2: launcher: fix warnings and errors under g++
2019-09-08 Sean Crossbackends: smt2: use $(CXX) variable for compiler
2019-09-07 Marcin Kościelnickisynth_xilinx: Support init values on Spartan 6 flip...
2019-09-07 Marcin Kościelnickitechmap: Add support for extracting init values of...
2019-09-07 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-09-07 Eddie HungAdd missing -assert to equiv_opt
2019-09-05 Eddie HungMerge pull request #1312 from YosysHQ/xaig_arrival
2019-09-05 Clifford WolfBump version
2019-09-05 Clifford WolfMerge pull request #1350 from YosysHQ/clifford/fixsby59
2019-09-05 Clifford WolfMerge pull request #1330 from YosysHQ/clifford/fix1145
2019-09-05 Clifford WolfUpdate README.md
2019-09-05 Clifford WolfRename conflicting wires on flatten/techmap, add "hierc...
2019-09-05 Clifford WolfAdd flatten handling of pre-existing wires as created...
2019-09-05 whitequarkMerge pull request #1356 from emilazy/fix-makefile...
2019-09-04 EmilyUse $(shell :; ...) in Makefile to force shell
2019-09-04 Eddie HungResolve TODO with pin assignments for SRL*
2019-09-04 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-09-04 whitequarkMerge pull request #1354 from emilazy/remove-which-use
2019-09-04 EmilyReplace `which` with `command -v` in Makefile too
2019-09-04 Eddie HungMerge pull request #1338 from YosysHQ/eddie/deferred_top
2019-09-03 Eddie HungAdopt @cliffordwolf's suggestion
2019-09-03 Eddie HungExpand test with `hierarchy' without -auto-top
2019-09-03 Eddie HungAdd `read -noverific` before read
2019-09-03 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-09-03 Clifford WolfMerge pull request #1351 from emilazy/remove-which-use
2019-09-02 EmilyUse `command -v` rather than `which`
2019-09-02 Clifford WolfProperly construct $live and $fair cells from "if ...
2019-09-02 Eddie HungAdd comments
2019-09-02 Eddie HungRename box
2019-09-02 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-09-02 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-09-02 Eddie HungRecognise built-in types (e.g. $_DFF_*)
2019-09-01 Eddie HungMerge pull request #1344 from YosysHQ/eddie/ice40_signe...
2019-09-01 Clifford WolfMerge pull request #1347 from mmicko/fix_select_error_msg
2019-09-01 David ShahMerge pull request #1346 from mmicko/fix_ecp5_cells_sim
2019-09-01 Miodrag MilanovicFix select command error msg, fixes issue #1081
2019-08-31 Miodrag MilanovicFix TRELLIS_FF simulation model
2019-08-31 David Shahecp5_gsr: Fix typo
2019-08-31 Eddie HungMake abc9 test a bit more interesting
2019-08-31 Eddie HungRecognise built-in types (e.g. $_DFF_*)
2019-08-30 Eddie HungRemove trailing space
2019-08-30 Eddie HungMissing dep for test_pmgen
2019-08-30 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-08-30 Eddie HungMerge pull request #1340 from YosysHQ/eddie/abc_no_clean
2019-08-30 Eddie HungImprove tests/ice40/macc.ys for SB_MAC16
2019-08-30 Eddie HungDo not restrict multiplier to unsigned
2019-08-30 Eddie HungMerge pull request #1310 from SergeyDegtyar/master
2019-08-30 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-08-30 Eddie HungMerge pull request #1321 from YosysHQ/eddie/xilinx_srl
2019-08-30 Eddie HungMerge branch 'eddie/xilinx_srl' into xaig_arrival
2019-08-30 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-08-30 Eddie HungFormat `-pwires`
2019-08-30 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-30 SergeyDegtyarmacc test fix
2019-08-30 David ShahMerge pull request #1343 from whitequark/diamond-ffs
2019-08-30 David Shahecp5: Add simulation equivalence check for Diamond...
2019-08-30 SergeyDegtyarFix macc test
2019-08-30 SergeyDegtyardiv_mod test fix
2019-08-30 SergeyDegtyarfix div_mod test
2019-08-30 whitequarkecp5: deduplicate Diamond FD/IFS/OFS/IO primitives.
2019-08-30 whitequarkecp5: allow (and enable by default) GSR on FD/IFS/OFS...
2019-08-30 whitequarkecp5: add missing FD primitives.
2019-08-30 whitequarkecp5: fix CEMUX on IFS/OFS primitives.
2019-08-30 SergeyDegtyarFix test for counter
2019-08-30 SergeyMerge branch 'master' into master
2019-08-30 SergeyDegtyarAdd new tests.
2019-08-30 SergeyDegtyarRemove unnecessary common.v(assertions for testbenches).
2019-08-30 SergeyDegtyarRemove simulation from run-test.sh (unnecessary paths)
2019-08-30 SergeyDegtyarRemove simulation from run-test.sh
2019-08-30 Eddie HungMerge pull request #1337 from YosysHQ/eddie/fix_carry_w...
2019-08-30 Eddie HungNicer formatting
2019-08-30 Eddie Hungparse_xaiger() to do "clean -purge"
2019-08-30 Eddie HungOutput has priority over input when stitching in abc9
2019-08-29 Eddie HungGroup abc_* attribute doc with other attributes
2019-08-29 Eddie Hungabc9 to not call "clean" at end of run (often called...
2019-08-29 SergeyMerge pull request #2 from YosysHQ/master
2019-08-29 SergeyMerge pull request #3 from YosysHQ/Sergey/tests_ice40
2019-08-29 Eddie HungFix typo that's gone unnoticed for 5 months!?!
2019-08-29 Eddie HungAdd constant expression attribute to test
2019-08-29 Eddie HungRemove newline
2019-08-29 Eddie HungRestore non-deferred code, deferred case to ignore...
2019-08-29 Eddie HungRename boxes too
2019-08-29 Clifford WolfBump YOSYS_VER
2019-08-29 SergeyDegtyarAdd comments for examples from Lattice user guide
2019-08-29 Eddie HungUse a dummy box file if none specified
2019-08-29 Eddie Hung-auto-top should check $abstract (deferred) modules...
2019-08-29 Eddie Hungread_verilog -defer should still populate module attributes
2019-08-29 Eddie HungAdd failing test
2019-08-29 Eddie HungComment out SB_MAC16 arrival time for now, need to...
2019-08-29 Eddie HungAdd arrival for SB_MAC16.O
2019-08-29 Eddie HungAdd arrival times for U
2019-08-29 Eddie HungLX -> LP
2019-08-29 Eddie HungRound not floor
2019-08-29 Eddie HungAdd LP timings
next