yosys.git
2015-04-18 Clifford Wolfdon't consider blackbox modules in "sat" command
2015-04-18 Clifford WolfImproved handling of init values in opt_rmdff
2015-04-17 Clifford WolfBugfix for $_DFF_?_ in "dff2dffe -direct-match"
2015-04-17 Clifford WolfAdded mapping of synchronous set/reset to iCE40 flow
2015-04-16 Clifford WolfImproved "maccmap" help message
2015-04-16 Clifford WolfA "#" does start a comment, not a label.
2015-04-16 Clifford WolfChanged ice40 ICESTORM_CARRYCONST port name
2015-04-16 Clifford WolfFixed "dff2dffe -direct-match"
2015-04-16 Clifford WolfAdded simple ice40 dff tests
2015-04-16 Clifford Wolfimproved ice40 dff cell mapping
2015-04-16 Clifford WolfAdded "dff2dffe -direct-match"
2015-04-14 Clifford Wolfuse "hierarchy -auto-top" in synth_ice40
2015-04-14 Clifford Wolfmore cells in ice40 cell library
2015-04-13 Clifford WolfAdded "splice -wires"
2015-04-13 Clifford WolfAdded handling of bool-output cells to "wreduce"
2015-04-09 Clifford WolfImproved xilinx "bram1" test
2015-04-09 Clifford WolfAdded memory_bram "make_outreg" feature
2015-04-09 Clifford WolfAdded back-end auto-detect for .edif and .json
2015-04-09 Clifford WolfMinor fixes in handling of "init" attribute
2015-04-09 Clifford WolfXilinx DRAMS: RAM64X1D, RAM128X1D
2015-04-09 Clifford WolfFixed const2big performance bug
2015-04-09 Clifford Wolftechmap code cleanup
2015-04-09 Clifford WolfTowards DRAM support in Xilinx flow
2015-04-08 Clifford WolfAdded support for "file names with blanks"
2015-04-08 Clifford WolfRemoved "techmap -share_map" (use "-map +/filename...
2015-04-07 Clifford WolfAdded %M and %C select operators
2015-04-07 Clifford WolfAdded "pmuxtree" command
2015-04-07 Clifford WolfAdded "chparam -list"
2015-04-07 Clifford WolfAdded decoder generation to "muxcover"
2015-04-07 Clifford WolfAdded hashlib support for std::tuple<>
2015-04-07 Clifford WolfAdded "muxcover" command
2015-04-07 Clifford WolfAdded pool<K>::pop()
2015-04-07 Clifford Wolftypo fix
2015-04-07 Clifford WolfAdded "chparam" command
2015-04-06 Clifford WolfAdded support for initialized xilinx brams
2015-04-06 Clifford WolfAdded support for initialized brams
2015-04-06 Clifford WolfAdded Xilinx test case for initialized brams
2015-04-06 Clifford WolfAdded Xilinx bram black-box modules
2015-04-05 Clifford WolfAdded "port_directions" to write_json output
2015-04-05 Clifford WolfAvoid parameter values with size 0 ($mem cells)
2015-04-05 Clifford Wolfmake all vector-size related integer params in $mem...
2015-04-05 Clifford WolfAdded $_MUX4_, $_MUX8_, and $_MUX16_ cell types
2015-04-04 Clifford WolfAdded "dffinit", Support for initialized Xilinx DFF
2015-04-04 Clifford WolfAdded "init" attribute support to verilog backend
2015-04-04 Clifford Wolfappnote 012 fix
2015-04-04 Clifford WolfAppnote 012
2015-04-04 Clifford WolfUpdated ABC to 51705b168d7a
2015-04-04 Clifford WolfMerge pull request #55 from ahmedirfan1983/master
2015-04-03 Ahmed IrfanUpdate README
2015-04-03 Ahmed IrfanDelete btor.ys
2015-04-03 Ahmed IrfanUpdate README
2015-04-03 Ahmed Irfanseparated memory next from write cell
2015-04-03 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2015-04-03 Ahmed IrfanMerge branch 'btor' of https://github.com/ahmedirfan198...
2015-04-03 Ahmed Irfanappnote for verilog to btor
2015-03-29 Clifford Wolfdocumentation improvements
2015-03-25 Clifford WolfIgnore celldefine directive in verilog front-end
2015-03-25 Clifford WolfFixes in cmos_cells.v
2015-03-22 Clifford WolfFixed detection of absolute paths in ABC for win32
2015-03-22 Clifford WolfAdded blif reference to appnote 010
2015-03-20 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2015-03-20 Clifford Wolffix for python 2.6.6
2015-03-18 Clifford WolfFixed handling of quotes in liberty parser
2015-03-18 Clifford WolfAdded hierarchy -auto-top
2015-03-18 Clifford WolfAdded Verilog backend $dffsr support
2015-03-06 Clifford WolfDocumentation for JSON format, added attributes
2015-03-05 Clifford WolfAdded very first version of "synth_ice40"
2015-03-04 Clifford WolfFixed bug in "hierarchy" for parametric designs
2015-03-03 Clifford WolfJson bugfix
2015-03-03 Clifford WolfJson backend improvements
2015-03-02 Clifford WolfAdded write_blif -attr
2015-03-02 Clifford WolfAdded JSON backend
2015-03-01 Clifford WolfConst-fold parameter defs on-demand in AstNode::detectS...
2015-02-26 Clifford WolfAdded $assume support to write_smt2
2015-02-26 Clifford WolfAdded non-std verilog assume() statement
2015-02-26 Clifford WolfAdded $assume cell type
2015-02-25 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2015-02-25 Clifford WolfBugfix in iopadmap
2015-02-25 Clifford WolfAdded "keep_hierarchy" attribute
2015-02-24 Clifford WolfSome cleanups in "clean"
2015-02-24 Clifford WolfFixed compilation problems with gcc 4.6.3; use enum...
2015-02-22 Clifford WolfMinor "write_smt2" help msg change
2015-02-22 Clifford WolfFixed "check -assert"
2015-02-22 Clifford WolfAdded "<mod>_a" and "<mod>_i" to write_smt2 output
2015-02-22 Clifford WolfAdded "check -assert" doc
2015-02-22 Clifford WolfAdded "check -assert"
2015-02-22 Clifford WolfFixed "sat -initsteps" off-by-one bug
2015-02-21 Clifford WolfAdded "sat -stepsize" and "sat -tempinduct-step"
2015-02-21 Clifford Wolfsat docu change
2015-02-21 Clifford WolfWhen "sat -tempinduct-baseonly -maxsteps N" reaches...
2015-02-21 Clifford WolfAdded "sat -tempinduct-baseonly -tempinduct-inductonly"
2015-02-21 Clifford WolfFixed basecase init for "sat -tempinduct"
2015-02-21 Clifford WolfFixed "flatten" for non-pre-derived modules
2015-02-21 Clifford WolfHotfix for yosysjs/demo03.html
2015-02-21 Clifford WolfYosysJS: Wait for Viz to load
2015-02-21 Clifford WolfReplaced ezDefaultSAT with ezSatPtr
2015-02-21 Clifford WolfCatch constants assigned to cell outputs in "flatten"
2015-02-20 Clifford WolfAdded deep recursion warning to AST simplify
2015-02-20 Clifford WolfParser support for complex delay expressions
2015-02-19 Clifford WolfYosysJS firefox fixes
next