yosys.git
2019-09-11 Eddie HungMerge remote-tracking branch 'origin/eddie/peepopt_dffm...
2019-09-11 Eddie HungRename dffmuxext -> dffmux, also remove constants in...
2019-09-11 Eddie Hungproc instead of prep
2019-09-11 Eddie HungMerge remote-tracking branch 'origin/eddie/peepopt_dffm...
2019-09-11 Eddie HungAdd unsigned case
2019-09-11 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-09-11 Eddie HungOnly pack out registers if \init is zero or x; then...
2019-09-11 Eddie HungFix RSTP
2019-09-11 Eddie HungAdd support for RSTP
2019-09-11 Eddie Hungenpol -> cepol
2019-09-11 Eddie Hungd?ffmux -> d?ffcemux
2019-09-11 Eddie HungRefactor MREG and PREG to out_dffe subpattern
2019-09-10 Eddie HungUpdate help text
2019-09-10 Eddie HungUpdate xilinx_dsp help text
2019-09-10 Eddie HungUpdate CHANGELOG
2019-09-10 Eddie HungMove "(skip if -nodsp)" message to label
2019-09-10 Eddie HungBe sensitive to signedness
2019-09-10 Eddie HungReally get rid of 'opt_expr -fine' by being explicit
2019-09-10 Eddie HungRemove wreduce call
2019-09-10 Eddie HungAdd comment for why opt_expr is necessary
2019-09-10 Eddie HungRevert "Remove "opt_expr -fine" call"
2019-09-10 Eddie HungRename label to map_dsp
2019-09-10 Eddie HungRemove "opt_expr -fine" call
2019-09-10 Clifford WolfBump version
2019-09-10 Eddie HungOops
2019-09-10 Eddie HungAdd SIMD test
2019-09-10 Eddie HungSupport subtraction as well
2019-09-10 Eddie HungSupport TWO24
2019-09-10 Eddie HungRefactor
2019-09-10 Eddie HungAdd initial USE_SIMD=FOUR12 support
2019-09-10 Eddie HungOnly trim sigM if USE_MULT; only look for ffM then too
2019-09-10 Eddie HungSet USE_MULT and USE_SIMD
2019-09-09 Eddie HungFix misspelling
2019-09-09 Eddie HungRename
2019-09-09 Eddie HungOops
2019-09-09 Eddie HungTidy up
2019-09-09 Eddie HungRefactor using subpattern in_dffe
2019-09-07 Marcin Kościelnickisynth_xilinx: Support init values on Spartan 6 flip...
2019-09-07 Marcin Kościelnickitechmap: Add support for extracting init values of...
2019-09-07 Eddie HungUpdate macc test
2019-09-07 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-09-07 Eddie HungAdd missing -assert to equiv_opt
2019-09-07 Eddie HungMissing equiv_opt -assert
2019-09-07 Eddie HungMake one check $shift(x)? only; change testcase to...
2019-09-07 Eddie HungUsee equiv_opt -assert
2019-09-07 Eddie HungPack CREG
2019-09-07 Eddie HungUse unextend lambda
2019-09-06 Eddie HungFix ffP just like ffPmux
2019-09-06 Eddie HungPerform D replacement properly
2019-09-06 Eddie HungAdd support for DREG
2019-09-06 Eddie HungFine tune nusers when postAdd
2019-09-06 Eddie HungFix macc and mul tests
2019-09-06 Eddie HungFix enable polarity
2019-09-06 Eddie HungLogging for ffAD
2019-09-06 Eddie HungAdd support for pre-adder and AD register
2019-09-06 Eddie HungDocument (* gentb_skip *) attr for test_autotb
2019-09-06 Eddie HungTidy up ice40_dsp some more
2019-09-06 Eddie HungUse more index patterns
2019-09-06 Eddie HungFix ffPmux to cope with offset
2019-09-06 Eddie HungSimplify filter expressions
2019-09-06 Eddie HungFix nusers condition in ffP
2019-09-06 Eddie HungCheck adder is <= 48 bits before packing
2019-09-06 Eddie HungCheck nusers for M and P enable muxes
2019-09-06 Eddie HungMore nusers() checks for A and B enable muxes
2019-09-06 Eddie HungCleanup
2019-09-06 Eddie HungSensitive to CEB CEM CEP polarity
2019-09-06 Eddie HungffAmuxAB -> ffAenpol
2019-09-06 Eddie HungRefactor ice40_dsp
2019-09-06 Eddie HungFix broken ice40_dsp
2019-09-05 Eddie HungMerge remote-tracking branch 'origin/eddie/peepopt_dffm...
2019-09-05 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-09-05 Eddie HungDo not check signedness of post-adder (assume taken...
2019-09-05 Eddie HungMerge pull request #1312 from YosysHQ/xaig_arrival
2019-09-05 Eddie HungUse filter instead of index; support wide enable muxes
2019-09-05 Eddie HungDo not make ff[MP]mux semioptional, use sigmap
2019-09-05 Eddie HungAdd support for CEP
2019-09-05 Eddie HungAdd support for CEB, remove check on nusers
2019-09-05 Eddie HungCleanup
2019-09-05 Eddie HungSupport CEA
2019-09-05 Clifford WolfBump version
2019-09-05 Clifford WolfMerge pull request #1350 from YosysHQ/clifford/fixsby59
2019-09-05 Clifford WolfMerge pull request #1330 from YosysHQ/clifford/fix1145
2019-09-05 Eddie Hungsimple/peepopt.v tests to various/peepopt.ys with equiv...
2019-09-05 Eddie HungRevert "abc9 followed by clean otherwise netlist could...
2019-09-05 Clifford WolfUpdate README.md
2019-09-05 Clifford WolfRename conflicting wires on flatten/techmap, add "hierc...
2019-09-05 Clifford WolfAdd flatten handling of pre-existing wires as created...
2019-09-05 Eddie HungGet rid of sigBset too
2019-09-05 whitequarkMerge pull request #1356 from emilazy/fix-makefile...
2019-09-05 Eddie HungGet rid of sigAset
2019-09-05 Eddie HungGet rid of sigPused
2019-09-04 Eddie HungCompute sigP properly
2019-09-04 EmilyUse $(shell :; ...) in Makefile to force shell
2019-09-04 Eddie HungResolve TODO with pin assignments for SRL*
2019-09-04 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-09-04 Eddie HungRevert "parse_xaiger() to do "clean -purge""
2019-09-04 Eddie Hungabc9 followed by clean otherwise netlist could be inval...
2019-09-04 Eddie HungRemove log_cell() calls
2019-09-04 Eddie HungMerge remote-tracking branch 'origin/eddie/peepopt_dffm...
2019-09-04 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
next