Add (* abc_flop_q *) to brams_bb.v
[yosys.git] / .github /
drwxr-xr-x   ..
-rw-r--r-- 829 issue_template.md