Merge pull request #633 from mmicko/master
[yosys.git] / .travis /
drwxr-xr-x   ..
-rwxr-xr-x 938 build-and-test.sh
-rw-r--r-- 320 common.sh
-rwxr-xr-x 76 deploy-after-success.sh
-rwxr-xr-x 2688 setup.sh