Merge pull request #1295 from YosysHQ/eddie/fix_travis
[yosys.git] / .travis /
drwxr-xr-x   ..
-rwxr-xr-x 999 build-and-test.sh
-rw-r--r-- 320 common.sh
-rwxr-xr-x 76 deploy-after-success.sh
-rwxr-xr-x 1336 setup.sh