build.xilinx: Run `phys_opt_design` and generate timing report.
[litex.git] / doc /
drwxr-xr-x   ..
-rw-r--r-- 65143 litex_logo.png