Improve igloo2 example
[yosys.git] / examples / igloo2 /
drwxr-xr-x   ..
-rw-r--r-- 31 .gitignore
-rw-r--r-- 33 example.pdc
-rw-r--r-- 30 example.sdc
-rw-r--r-- 401 example.v
-rw-r--r-- 1200 libero.tcl
-rw-r--r-- 271 runme.sh