Externalize CRG into its own file
[gram.git] / gram / simulation / dram_model /
drwxr-xr-x   ..
-rw-r--r-- 54114 2048Mb_ddr3_parameters.vh
-rw-r--r-- 167656 ddr3.v