boards/targets/versaecp55g_prjtrellis: simple.py example working, specific target...
[litex.git] / litex /
drwxr-xr-x   ..
-rw-r--r-- 48 __init__.py
drwxr-xr-x - boards
drwxr-xr-x - build
drwxr-xr-x - gen
drwxr-xr-x - soc