Use MEMID as name for $mem cell
[yosys.git] / passes / memory /
drwxr-xr-x   ..
-rw-r--r-- 253 Makefile.inc
-rw-r--r-- 2944 memory.cc
-rw-r--r-- 42052 memory_bram.cc
-rw-r--r-- 8571 memory_collect.cc
-rw-r--r-- 7748 memory_dff.cc
-rw-r--r-- 12743 memory_map.cc
-rw-r--r-- 26826 memory_share.cc
-rw-r--r-- 6145 memory_unpack.cc