Add v2 memory cells.
[yosys.git] / passes / opt /
drwxr-xr-x   ..
-rw-r--r-- 579 Makefile.inc
-rw-r--r-- 9567 muxpack.cc
-rw-r--r-- 6137 opt.cc
-rw-r--r-- 19540 opt_clean.cc
-rw-r--r-- 5953 opt_demorgan.cc
-rw-r--r-- 27788 opt_dff.cc
-rw-r--r-- 76451 opt_expr.cc
-rw-r--r-- 18924 opt_lut.cc
-rw-r--r-- 8686 opt_lut_ins.cc
-rw-r--r-- 2858 opt_mem.cc
-rw-r--r-- 10424 opt_mem_feedback.cc
-rw-r--r-- 10711 opt_merge.cc
-rw-r--r-- 15072 opt_muxtree.cc
-rw-r--r-- 12082 opt_reduce.cc
-rw-r--r-- 17621 opt_share.cc
-rw-r--r-- 23404 pmux2shiftx.cc
-rw-r--r-- 5345 rmports.cc
-rw-r--r-- 48431 share.cc
-rw-r--r-- 18558 wreduce.cc