platforms/kc705: add more clock constraints
[litex.git] / platforms /
drwxr-xr-x   ..
-rw-r--r-- 4339 kc705.py