Add (* abc_flop_q *) to brams_bb.v
[yosys.git] / techlibs / achronix /
drwxr-xr-x   ..
-rwxr-xr-x 252 Makefile.inc
drwxr-xr-x - speedster22i
-rwxr-xr-x 5797 synth_achronix.cc