Replace opt_rmdff with opt_dff.
[yosys.git] / techlibs / anlogic /
drwxr-xr-x   ..
-rw-r--r-- 653 Makefile.inc
-rw-r--r-- 3119 anlogic_eqn.cc
-rw-r--r-- 3994 anlogic_fixcarry.cc
-rw-r--r-- 2478 arith_map.v
-rw-r--r-- 3928 cells_map.v
-rw-r--r-- 3209 cells_sim.v
-rw-r--r-- 33586 eagle_bb.v
-rw-r--r-- 939 lutram_init_16x4.vh
-rw-r--r-- 201 lutrams.txt
-rw-r--r-- 404 lutrams_map.v
-rw-r--r-- 6212 synth_anlogic.cc