Hook up $aldff support in various passes.
[yosys.git] / techlibs / common /
drwxr-xr-x   ..
-rw-r--r-- 34 .gitignore
-rw-r--r-- 1514 Makefile.inc
-rw-r--r-- 923 abc9_map.v
-rw-r--r-- 653 abc9_model.v
-rw-r--r-- 638 abc9_unmap.v
-rw-r--r-- 575 adff2dff.v
-rw-r--r-- 1339 cellhelp.py
-rw-r--r-- 2432 cells.lib
-rw-r--r-- 5260 cmp2lcu.v
-rw-r--r-- 2540 cmp2lut.v
-rw-r--r-- 299 dff2ff.v
-rw-r--r-- 1447 gate2lut.v
-rw-r--r-- 11928 gen_fine_ffs.py
-rw-r--r-- 9065 mul2dsp.v
-rw-r--r-- 342 pmux2mux.v
-rw-r--r-- 6180 prep.cc
-rw-r--r-- 87795 simcells.v
-rw-r--r-- 61648 simlib.v
-rw-r--r-- 7919 synth.cc
-rw-r--r-- 15828 techmap.v