Merge branch 'yosys-0.5-vtr' of https://github.com/eddiehung/yosys into eddiehung-vtr
[yosys.git] / techlibs / common /
drwxr-xr-x   ..
-rw-r--r-- 34 .gitignore
-rw-r--r-- 978 Makefile.inc
-rw-r--r-- 520 adff2dff.v
-rw-r--r-- 1339 cellhelp.py
-rw-r--r-- 2432 cells.lib
-rw-r--r-- 342 pmux2mux.v
-rw-r--r-- 4806 prep.cc
-rw-r--r-- 30233 simcells.v
-rw-r--r-- 36026 simlib.v
-rw-r--r-- 5629 synth.cc
-rw-r--r-- 11992 techmap.v