Revert "Add test that is expecting to fail"
[yosys.git] / techlibs / easic /
drwxr-xr-x   ..
-rw-r--r-- 39 Makefile.inc
-rw-r--r-- 5558 synth_easic.cc