Revert "Add test that is expecting to fail"
[yosys.git] / techlibs / ecp5 /
drwxr-xr-x   ..
-rw-r--r-- 163 .gitignore
-rw-r--r-- 2830 Makefile.inc
-rw-r--r-- 1211 abc9_5g.box
-rw-r--r-- 568 abc9_5g.lut
-rw-r--r-- 269 abc9_5g_nowide.lut
-rw-r--r-- 570 abc9_map.v
-rw-r--r-- 139 abc9_model.v
-rw-r--r-- 137 abc9_unmap.v
-rw-r--r-- 2389 arith_map.v
-rw-r--r-- 715 bram.txt
-rwxr-xr-x 3696 brams_connect.py
-rwxr-xr-x 1021 brams_init.py
-rw-r--r-- 3867 brams_map.v
-rw-r--r-- 34899 cells_bb.v
-rw-r--r-- 5837 cells_ff.vh
-rw-r--r-- 1748 cells_io.vh
-rw-r--r-- 12872 cells_map.v
-rw-r--r-- 22740 cells_sim.v
-rw-r--r-- 1408 dsp_map.v
-rw-r--r-- 6480 ecp5_ffinit.cc
-rw-r--r-- 4235 ecp5_gsr.cc
-rw-r--r-- 258 latches_map.v
-rw-r--r-- 214 lutram.txt
-rw-r--r-- 491 lutrams_map.v
-rw-r--r-- 10389 synth_ecp5.cc
drwxr-xr-x - tests