Revert "Revert "synth_* with -retime option now calls abc with -D 1 as well""
[yosys.git] / techlibs / greenpak4 /
drwxr-xr-x   ..
-rw-r--r-- 739 Makefile.inc
-rw-r--r-- 365 cells_blackbox.v
-rw-r--r-- 248 cells_latch.v
-rw-r--r-- 5258 cells_map.v
-rw-r--r-- 105 cells_sim.v
-rw-r--r-- 2072 cells_sim_ams.v
-rw-r--r-- 15006 cells_sim_digital.v
-rw-r--r-- 2926 cells_sim_wip.v
-rw-r--r-- 998 gp_dff.lib
-rw-r--r-- 6155 greenpak4_dffinv.cc
-rw-r--r-- 6413 synth_greenpak4.cc