Revert "Add test that is expecting to fail"
[yosys.git] / techlibs / ice40 /
drwxr-xr-x   ..
-rw-r--r-- 59 .gitignore
-rw-r--r-- 1940 Makefile.inc
-rw-r--r-- 433 abc9_hx.box
-rw-r--r-- 181 abc9_hx.lut
-rw-r--r-- 433 abc9_lp.box
-rw-r--r-- 181 abc9_lp.lut
-rw-r--r-- 356 abc9_model.v
-rw-r--r-- 439 abc9_u.box
-rw-r--r-- 187 abc9_u.lut
-rw-r--r-- 1989 arith_map.v
-rw-r--r-- 534 brams.txt
-rw-r--r-- 669 brams_init.py
-rw-r--r-- 7976 brams_map.v
-rw-r--r-- 4137 cells_map.v
-rw-r--r-- 45015 cells_sim.v
-rw-r--r-- 789 dsp_map.v
-rw-r--r-- 4281 ice40_braminit.cc
-rw-r--r-- 5145 ice40_ffinit.cc
-rw-r--r-- 3740 ice40_ffssr.cc
-rw-r--r-- 7162 ice40_opt.cc
-rw-r--r-- 258 latches_map.v
-rw-r--r-- 11849 synth_ice40.cc
drwxr-xr-x - tests