Get rid of dffsr2dff.
[yosys.git] / techlibs / intel_alm /
drwxr-xr-x   ..
-rw-r--r-- 1392 Makefile.inc
drwxr-xr-x - common
drwxr-xr-x - cyclone10gx
drwxr-xr-x - cyclonev
-rw-r--r-- 7498 synth_intel_alm.cc