Merge branch 'master' of https://github.com/YosysHQ/yosys into gowin
[yosys.git] / techlibs / xilinx / tests /
drwxr-xr-x   ..
-rw-r--r-- 138 .gitignore
-rw-r--r-- 2003 bram1.sh
-rw-r--r-- 1197 bram1.v
-rw-r--r-- 3965 bram1_tb.v
-rw-r--r-- 250 bram2.sh
-rw-r--r-- 621 bram2.v
-rw-r--r-- 1089 bram2_tb.v
-rw-r--r-- 675 test_dsp_model.sh
-rw-r--r-- 19623 test_dsp_model.v