platforms/kc705: add more clock constraints
[litex.git] / test /
drwxr-xr-x   ..
-rw-r--r-- 209 config.py
-rw-r--r-- 288 test_regs.py
-rw-r--r-- 855 test_udpip.py