Merge pull request #3310 from robinsonb5-PRs/master
[yosys.git] / tests / arch / ecp5 /
drwxr-xr-x   ..
-rw-r--r-- 19 .gitignore
-rw-r--r-- 358 add_sub.ys
-rw-r--r-- 1620 adffs.ys
-rw-r--r-- 502 bug1459.ys
-rw-r--r-- 322 bug1598.ys
-rw-r--r-- 8527 bug1630.il.gz
-rw-r--r-- 37 bug1630.ys
-rw-r--r-- 285 bug2409.ys
-rw-r--r-- 268 bug2731.ys
-rw-r--r-- 427 counter.ys
-rw-r--r-- 739 dffs.ys
-rw-r--r-- 721 dpram.v
-rw-r--r-- 516 dpram.ys
-rw-r--r-- 613 fsm.ys
-rw-r--r-- 880 latches.ys
-rw-r--r-- 286 latches_abc9.ys
-rw-r--r-- 354 logic.ys
-rw-r--r-- 596 lutram.ys
-rw-r--r-- 536 macc.v
-rw-r--r-- 515 macc.ys
-rw-r--r-- 14892 memories.ys
-rw-r--r-- 436 mul.ys
-rw-r--r-- 1641 mux.ys
-rw-r--r-- 545 opt_lut_ins.ys
-rw-r--r-- 385 rom.v
-rw-r--r-- 389 rom.ys
-rwxr-xr-x 182 run-test.sh
-rw-r--r-- 378 shifter.ys
-rw-r--r-- 397 tribuf.ys