Merge branch 'master' of github.com:YosysHQ/yosys
[yosys.git] / tests / arch / gowin /
drwxr-xr-x   ..
-rw-r--r-- 27 .gitignore
-rw-r--r-- 501 add_sub.ys
-rw-r--r-- 1853 adffs.ys
-rw-r--r-- 538 counter.ys
-rw-r--r-- 868 dffs.ys
-rw-r--r-- 324 fsm.ys
-rw-r--r-- 506 logic.ys
-rw-r--r-- 561 memory.ys
-rw-r--r-- 1835 mux.ys
-rwxr-xr-x 450 run-test.sh
-rw-r--r-- 442 shifter.ys
-rw-r--r-- 486 tribuf.ys