Merge pull request #1638 from YosysHQ/eddie/fix1631
[yosys.git] / tests / arch / gowin /
drwxr-xr-x   ..
-rw-r--r-- 27 .gitignore
-rw-r--r-- 501 add_sub.ys
-rw-r--r-- 1888 adffs.ys
-rw-r--r-- 558 counter.ys
-rw-r--r-- 868 dffs.ys
-rw-r--r-- 324 fsm.ys
-rw-r--r-- 4979 init.v
-rw-r--r-- 1970 init.ys
-rw-r--r-- 506 logic.ys
-rw-r--r-- 577 lutram.ys
-rw-r--r-- 1847 mux.ys
-rwxr-xr-x 450 run-test.sh
-rw-r--r-- 442 shifter.ys
-rw-r--r-- 486 tribuf.ys