verilog: fix sizing of ports with int types in module headers
[yosys.git] / tests / bram /
drwxr-xr-x   ..
-rw-r--r-- 5 .gitignore
-rw-r--r-- 11201 generate.py
-rw-r--r-- 547 run-single.sh
-rwxr-xr-x 1077 run-test.sh