Added a test for the Memory Content File inclusion using $readmemb
[yosys.git] / tests / memfile /
drwxr-xr-x   ..
-rw-r--r-- 6 .gitignore
-rw-r--r-- 412 memory.v
-rwxr-xr-x 1533 run-test.sh