Merge pull request #2319 from YosysHQ/mwk/techmap-celltype-pattern
[yosys.git] / tests / memories /
drwxr-xr-x   ..
-rw-r--r-- 18 .gitignore
-rw-r--r-- 4223 amber23_sram_byte_en.v
-rw-r--r-- 301 firrtl_938.v
-rw-r--r-- 602 implicit_en.v
-rw-r--r-- 617 issue00335.v
-rw-r--r-- 340 issue00710.v
-rw-r--r-- 620 no_implicit_en.v
-rw-r--r-- 330 read_two_mux.v
-rwxr-xr-x 1214 run-test.sh
-rw-r--r-- 463 shared_ports.v
-rw-r--r-- 682 simple_sram_byte_en.v