Merge pull request #3263 from YosysHQ/micko/clk2ff_init
[yosys.git] / tests / opt_share /
drwxr-xr-x   ..
-rw-r--r-- 5 .gitignore
-rw-r--r-- 3119 generate.py
-rwxr-xr-x 1102 run-test.sh