fail svinterfaces testcases on yosys error exit
[yosys.git] / tests / share /
drwxr-xr-x   ..
-rw-r--r-- 5 .gitignore
-rw-r--r-- 4159 generate.py
-rwxr-xr-x 915 run-test.sh