Add ability to override verilog mode for verific -f command
[yosys.git] / tests / techmap /
drwxr-xr-x   ..
-rw-r--r-- 12 .gitignore
-rw-r--r-- 1420 abc9.ys
-rw-r--r-- 231 adff2dff.ys
-rw-r--r-- 161 aigmap.ys
-rw-r--r-- 1047 autopurge.ys
-rw-r--r-- 152 bug2183.ys
-rw-r--r-- 223 bug2321.ys
-rw-r--r-- 177 bug2332.ys
-rw-r--r-- 226 bug2759.ys
-rw-r--r-- 355 bug2972.ys
-rw-r--r-- 781 cellname.ys
-rw-r--r-- 7432 clkbufmap.ys
-rw-r--r-- 1547 cmp2lcu.ys
-rw-r--r-- 173 dff2ff.ys
-rw-r--r-- 334 dffinit.ys
-rw-r--r-- 4229 dfflegalize_adff.ys
-rw-r--r-- 12233 dfflegalize_adff_init.ys
-rw-r--r-- 1516 dfflegalize_adlatch.ys
-rw-r--r-- 3386 dfflegalize_adlatch_init.ys
-rw-r--r-- 3015 dfflegalize_aldff.ys
-rw-r--r-- 5134 dfflegalize_aldff_init.ys
-rw-r--r-- 12146 dfflegalize_dff.ys
-rw-r--r-- 31699 dfflegalize_dff_init.ys
-rw-r--r-- 2949 dfflegalize_dffsr.ys
-rw-r--r-- 15809 dfflegalize_dffsr_init.ys
-rw-r--r-- 1589 dfflegalize_dlatch.ys
-rw-r--r-- 1573 dfflegalize_dlatch_const.ys
-rw-r--r-- 3328 dfflegalize_dlatch_init.ys
-rw-r--r-- 1090 dfflegalize_dlatchsr.ys
-rw-r--r-- 5126 dfflegalize_dlatchsr_init.ys
-rw-r--r-- 8129 dfflegalize_inv.ys
-rw-r--r-- 2454 dfflegalize_mince.ys
-rw-r--r-- 1855 dfflegalize_minsrst.ys
-rw-r--r-- 1861 dfflegalize_sr.ys
-rw-r--r-- 7777 dfflegalize_sr_init.ys
-rw-r--r-- 329 dfflibmap-sim.v
-rw-r--r-- 972 dfflibmap.lib
-rw-r--r-- 1572 dfflibmap.ys
-rw-r--r-- 3631 dffunmap.ys
-rw-r--r-- 984 extractinv.ys
-rw-r--r-- 4914 iopadmap.ys
-rw-r--r-- 284 mem_simple_4x1_cells.v
-rw-r--r-- 3761 mem_simple_4x1_map.v
-rw-r--r-- 657 mem_simple_4x1_runtest.sh
-rw-r--r-- 394 mem_simple_4x1_tb.v
-rw-r--r-- 276 mem_simple_4x1_uut.v
-rw-r--r-- 250 pmux2mux.ys
-rw-r--r-- 75 recursive.v
-rw-r--r-- 66 recursive_map.v
-rw-r--r-- 172 recursive_runtest.sh
-rwxr-xr-x 134 run-test.sh
-rw-r--r-- 1990 shiftx2mux.ys
-rw-r--r-- 696 techmap_replace.ys
-rw-r--r-- 2588 wireinit.ys
-rw-r--r-- 6616 zinit.ys