Yices 2 is the new default solver for yosys-smtbmc
-rw-r--r-- 51 .gitignore
-rw-r--r-- 596 Makefile
-rw-r--r-- 158 README.md
drwxr-xr-x - docs
drwxr-xr-x - sbysrc