1717ae0a9e73564949b916322a806553a5046b10
[c4m-jtag.git] / sim / ghdl / bench_idcode.sh
1 #!/bin/sh
2 ghdl -i ../../rtl/vhdl/c4m_jtag_*.vhdl
3 ghdl -i ../../bench/vhdl/idcode.vhdl
4 ghdl -m bench_idcode
5 ./bench_idcode --wave=bench_idcode.ghw