Made STATE and NEXT_STATE internal to c4m_jtag_tap_fsm.
[c4m-jtag.git] / test / cocotb / controller / Makefile
1 CURDIR=$(realpath .)
2 TOPDIR=$(realpath ../../..)
3
4 ifeq ($(PYTHONPATH),)
5 PYTHONPATH := $(TOPDIR)
6 else
7 PYTHONPATH := $(TOPDIR):$(PYTHONPATH)
8 endif
9 export PYTHONPATH
10
11 VHDLDIR=$(TOPDIR)/c4m/vhdl/jtag
12 VHDL_SOURCES = \
13 $(VHDLDIR)/c4m_jtag_pkg.vhdl \
14 $(VHDLDIR)/c4m_jtag_tap_fsm.vhdl \
15 $(VHDLDIR)/c4m_jtag_irblock.vhdl \
16 $(VHDLDIR)/c4m_jtag_iocell.vhdl \
17 $(VHDLDIR)/c4m_jtag_ioblock.vhdl \
18 $(VHDLDIR)/c4m_jtag_idblock.vhdl \
19 $(VHDLDIR)/c4m_jtag_tap_controller.vhdl
20 TOPLEVEL=c4m_jtag_tap_controller
21 TOPLEVEL_LANG=vhdl
22 MODULE=test
23 SIM=ghdl
24 GPI_IMPL=vhpi
25 GHDL_ARGS=--std=08
26 SIM_ARGS=--wave=test.ghw
27
28 COCOTBMAKEFILESDIR=$(shell cocotb-config --makefiles)
29
30 include $(COCOTBMAKEFILESDIR)/Makefile.inc
31 include $(COCOTBMAKEFILESDIR)/Makefile.sim