Move idcode.vhdl to test/ghdl/idcode
[c4m-jtag.git] / test / cocotb / dual_parallel / Makefile
1 CURDIR=$(realpath .)
2 TOPDIR=$(realpath ../../..)
3
4 ifeq ($(PYTHONPATH),)
5 PYTHONPATH := $(TOPDIR)
6 else
7 PYTHONPATH := $(TOPDIR):$(PYTHONPATH)
8 endif
9 export PYTHONPATH
10
11 VHDLDIR=$(TOPDIR)/c4m/vhdl/jtag
12 VHDL_SOURCES = \
13 $(VHDLDIR)/c4m_jtag_pkg.vhdl \
14 $(VHDLDIR)/c4m_jtag_tap_fsm.vhdl \
15 $(VHDLDIR)/c4m_jtag_irblock.vhdl \
16 $(VHDLDIR)/c4m_jtag_iocell.vhdl \
17 $(VHDLDIR)/c4m_jtag_ioblock.vhdl \
18 $(VHDLDIR)/c4m_jtag_idblock.vhdl \
19 $(VHDLDIR)/c4m_jtag_tap_controller.vhdl \
20 $(CURDIR)/dual_parallel.vhdl
21 TOPLEVEL=dual_parallel
22 TOPLEVEL_LANG=vhdl
23 MODULE=test
24 SIM=ghdl
25 GPI_IMPL=vhpi
26 GHDL_ARGS=--std=08
27 SIM_ARGS=--wave=test.ghw
28
29 COCOTBMAKEFILESDIR=$(shell cocotb-config --makefiles)
30
31 include $(COCOTBMAKEFILESDIR)/Makefile.inc
32 include $(COCOTBMAKEFILESDIR)/Makefile.sim