Use Elif for third m.next assignment.
[c4m-jtag.git] / c4m / nmigen / jtag / tap.py
index f978254ead66b1ce04a7d9666ad48bcf8099067c..333105d1b488d044791a97b8db38e8998e8008b5 100755 (executable)
@@ -321,8 +321,7 @@ class TAP(Elaboratable):
                         # If data is
                         m.d[domain] += wb.adr.eq(wb.adr + 1)
                         m.next = "READ"
-
-                    with m.If(sr_data.oe[1]): # WBWRITE code
+                    with m.Elif(sr_data.oe[1]): # WBWRITE code
                         m.d[domain] += wb.dat_w.eq(sr_data.o)
                         m.next = "WRITEREAD"
                 with m.State("READ"):