projects
/
c4m-jtag.git
/ blobdiff
commit
grep
author
committer
pickaxe
?
search:
re
summary
|
shortlog
|
log
|
commit
|
commitdiff
|
tree
raw
|
inline
| side by side
Made STATE and NEXT_STATE internal to c4m_jtag_tap_fsm.
[c4m-jtag.git]
/
c4m
/
nmigen
/
jtag
/
tap.py
diff --git
a/c4m/nmigen/jtag/tap.py
b/c4m/nmigen/jtag/tap.py
index fdcf762b8d58e220bb59b9a6a51b5fb9129a13d7..c12eabf55246bd648d883fc4e7f662166185fc75 100755
(executable)
--- a/
c4m/nmigen/jtag/tap.py
+++ b/
c4m/nmigen/jtag/tap.py
@@
-97,9
+97,9
@@
class TAP(Elaboratable):
-- The FSM state indicators
RESET: out std_logic;
-- The FSM state indicators
RESET: out std_logic;
-
DRCAPTURE:
out std_logic;
-
DRSHIFT:
out std_logic;
-
DRUPDATE:
out std_logic;
+
CAPTURE:
out std_logic;
+
SHIFT:
out std_logic;
+
UPDATE:
out std_logic;
-- The Instruction Register
IR: out std_logic_vector({ir_width}-1 downto 0);
-- The Instruction Register
IR: out std_logic_vector({ir_width}-1 downto 0);
@@
-134,9
+134,9
@@
class TAP(Elaboratable):
TDO => TDO,
TRST_N => TRST_N,
RESET => RESET,
TDO => TDO,
TRST_N => TRST_N,
RESET => RESET,
-
DRCAPTURE => DR
CAPTURE,
-
DRSHIFT => DR
SHIFT,
-
DRUPDATE => DR
UPDATE,
+
CAPTURE =>
CAPTURE,
+
SHIFT =>
SHIFT,
+
UPDATE =>
UPDATE,
IR => IR,
CORE_IN => CORE_IN,
CORE_EN => CORE_EN,
IR => IR,
CORE_IN => CORE_IN,
CORE_EN => CORE_EN,