Added TODO for IOMODEs.
[c4m-jtag.git] / c4m / vhdl / jtag / c4m_jtag_ioblock.vhdl
index c70be6f262a3e8bba163a71ba7dc2ad026d535cc..5990e3eaedd23f68fd8445866d11fe740e2e522e 100644 (file)
@@ -74,6 +74,7 @@ begin
 
   -- Set IOMODE
   -- Currently SR_2Core or SR_Z are not used
+  -- TODO: Handle more IOMODEs
   IOMODE <= SR_2Pad when IR = CMD_EXTEST else
             SR_Through;