Fix path for env
[c4m-jtag.git] / test / nmigen / gen / controller / generate.py
index de8d6a944482a102bb9a57c5408c3724647fc871..fbd724a7ba0e45ce2d69972ad3cf17c8c6422ff1 100755 (executable)
@@ -1,4 +1,4 @@
-#!/bin/env python3
+#!/usr/bin/env python3
 from nmigen import *
 from nmigen.back.verilog import convert
 from nmigen.build import Platform
@@ -13,7 +13,7 @@ class DummyPlatform(Platform):
     def toolchain_prepare(self, fragment, name, **kwargs):
         raise NotImplementedError
 
-tap = TAP(2)
+tap = TAP(ir_width=2)
 f = open("top.v", "w")
 f.write(convert(tap, platform=DummyPlatform()))
 f.close()