Support for different IO types in VHDL code.
[c4m-jtag.git] / test / vhdl / cocotb / controller / Makefile
index 05b570de247c2a0b771e538002635d23db3be8ea..836f3114fba4c5a28240fb9012606e27f863f579 100644 (file)
@@ -16,8 +16,10 @@ VHDL_SOURCES = \
   $(VHDLDIR)/c4m_jtag_iocell.vhdl \
   $(VHDLDIR)/c4m_jtag_ioblock.vhdl \
   $(VHDLDIR)/c4m_jtag_idblock.vhdl \
-  $(VHDLDIR)/c4m_jtag_tap_controller.vhdl
-TOPLEVEL=c4m_jtag_tap_controller
+  $(VHDLDIR)/c4m_jtag_tap_controller.vhdl \
+  $(CURDIR)/controller.vhdl
+#VHDL_SOURCES end
+TOPLEVEL=controller
 TOPLEVEL_LANG=vhdl
 MODULE=test
 SIM=ghdl