X-Git-Url: https://git.libre-soc.org/?p=c4m-jtag.git;a=blobdiff_plain;f=c4m%2Fnmigen%2Fjtag%2Fjtag.py;h=88d12922149c87d5763dc2f9670edd656831d66d;hp=3baaa9553f9b3c5a95b9e51a3ed93869bed6ee96;hb=1fae20c5f3991187c13a80326c5f27ab8afc5f83;hpb=2af9a2c55b5a5e5e17c484ebfc9bcaabf6cb6781 diff --git a/c4m/nmigen/jtag/jtag.py b/c4m/nmigen/jtag/jtag.py index 3baaa95..88d1292 100755 --- a/c4m/nmigen/jtag/jtag.py +++ b/c4m/nmigen/jtag/jtag.py @@ -216,8 +216,8 @@ class JTAGWishbone(Elaboratable): class JTAG(Elaboratable): @staticmethod def _add_files(platform, prefix): - d = os.path.realpath("{0}{1}{2}{1}vhdl".format( - os.path.dirname(__file__), os.path.sep, os.path.pardir + d = os.path.realpath("{dir}{sep}{par}{sep}{par}{sep}vhdl{sep}jtag".format( + dir=os.path.dirname(__file__), sep=os.path.sep, par=os.path.pardir )) + os.path.sep for fname in [ "c4m_jtag_pkg.vhdl",