X-Git-Url: https://git.libre-soc.org/?p=c4m-jtag.git;a=blobdiff_plain;f=c4m%2Fnmigen%2Fjtag%2Ftap.py;h=928634a545cc550f46947225a30352b5a110b2f2;hp=0e4de64a43e03e646114301e3614fa27a2da7506;hb=3e3fc6b79127e6199cd73712415902d83ecf7b5a;hpb=a3a7322c8be985680b807c2be3d80cacfe1fb819 diff --git a/c4m/nmigen/jtag/tap.py b/c4m/nmigen/jtag/tap.py index 0e4de64..928634a 100755 --- a/c4m/nmigen/jtag/tap.py +++ b/c4m/nmigen/jtag/tap.py @@ -4,15 +4,14 @@ import os from nmigen import * from nmigen.build import * from nmigen.lib.io import * +from nmigen.tracer import get_var_name from wishbone import Wishbone __all__ = [ - "JTAG", + "TAP", ] -#TODO: Provide more documentation - class ShiftReg(Elaboratable): def __init__(self, ircodes, length, domain): @@ -171,7 +170,8 @@ class JTAGWishbone(Elaboratable): return m -class JTAG(Elaboratable): +class TAP(Elaboratable): + #TODO: Document TAP @staticmethod def _add_files(platform, prefix): d = os.path.realpath("{dir}{sep}{par}{sep}{par}{sep}vhdl{sep}jtag".format( @@ -222,7 +222,7 @@ class JTAG(Elaboratable): self._wbs = [] def elaborate(self, platform): - JTAG._add_files(platform, "jtag" + os.path.sep) + TAP._add_files(platform, "jtag" + os.path.sep) m = Module()