X-Git-Url: https://git.libre-soc.org/?p=c4m-jtag.git;a=blobdiff_plain;f=c4m%2Fnmigen%2Fjtag%2Ftap.py;h=b2bcf818f8a3a2bc5b9fe62bfa5dafd628b8321b;hp=3bc33e78aac43b3df54b3b4d5d2166adab55a6c0;hb=b659294ca6c87a0a3ec539194bdcee88a91dc17d;hpb=6f9b4cbf67d3053c5cee346f4a9af2376178d6ca diff --git a/c4m/nmigen/jtag/tap.py b/c4m/nmigen/jtag/tap.py index 3bc33e7..b2bcf81 100755 --- a/c4m/nmigen/jtag/tap.py +++ b/c4m/nmigen/jtag/tap.py @@ -274,7 +274,7 @@ class TAP(Elaboratable): return m - def add_shiftreg(self, ircode, length, domain="sync", name=None, src_loc_at=0): + def add_shiftreg(self, *, ircode, length, domain="sync", name=None, src_loc_at=0): """Add a shift register to the JTAG interface Parameters: