X-Git-Url: https://git.libre-soc.org/?p=c4m-jtag.git;a=blobdiff_plain;f=rtl%2Fvhdl%2Fc4m_jtag_irblock.vhdl;h=8be483a192503f36f253c76083eb8eee42436cce;hp=e8b34a5caf28d59cc601f486140c64f4923adbe5;hb=84f13c8e9898b63b686c4c5e982f6ef5e554a7c6;hpb=575d47b57ca7ab1886c811f3d1ce3741b0cb362e diff --git a/rtl/vhdl/c4m_jtag_irblock.vhdl b/rtl/vhdl/c4m_jtag_irblock.vhdl index e8b34a5..8be483a 100644 --- a/rtl/vhdl/c4m_jtag_irblock.vhdl +++ b/rtl/vhdl/c4m_jtag_irblock.vhdl @@ -14,6 +14,7 @@ entity c4m_jtag_irblock is TCK: in std_logic; TDI: in std_logic; TDO: out std_logic; + TDO_EN: out std_logic := '0'; -- JTAG state STATE: in TAPSTATE_TYPE; @@ -57,5 +58,7 @@ begin end process; TDO <= SHIFT_IR(0) when STATE = Shift and IRSTATE = '1' else - 'Z'; + '0'; + TDO_EN <= '1' when STATE = Shift and IRSTATE = '1' else + '0'; end rtl;