Support JTAG bus with a reset signal.
authorStaf Verhaegen <staf@stafverhaegen.be>
Fri, 6 Dec 2019 11:07:27 +0000 (12:07 +0100)
committerStaf Verhaegen <staf@stafverhaegen.be>
Fri, 6 Dec 2019 19:15:45 +0000 (20:15 +0100)
commit4cc27b2cc5d74e70ad5b83bb7c7a63d7ad72dca1
tree98fee5cbbecf620953f3b7b33bfc0130bea3e1f8
parentd6c228fa7afe05bfe2522c8f1def607f5a54fe2c
Support JTAG bus with a reset signal.
c4m/nmigen/jtag/tap.py