From: Staf Verhaegen Date: Fri, 6 Dec 2019 19:06:06 +0000 (+0100) Subject: Use Elif for third m.next assignment. X-Git-Tag: 24jan2021ls180~35 X-Git-Url: https://git.libre-soc.org/?p=c4m-jtag.git;a=commitdiff_plain;h=160eebec63f9c05db1fc3624cdd43d5948bb3519 Use Elif for third m.next assignment. This way m.next assignments are done in one If/Elif statements for the "IDLE" state and not in two different If statements. --- diff --git a/c4m/nmigen/jtag/tap.py b/c4m/nmigen/jtag/tap.py index f978254..333105d 100755 --- a/c4m/nmigen/jtag/tap.py +++ b/c4m/nmigen/jtag/tap.py @@ -321,8 +321,7 @@ class TAP(Elaboratable): # If data is m.d[domain] += wb.adr.eq(wb.adr + 1) m.next = "READ" - - with m.If(sr_data.oe[1]): # WBWRITE code + with m.Elif(sr_data.oe[1]): # WBWRITE code m.d[domain] += wb.dat_w.eq(sr_data.o) m.next = "WRITEREAD" with m.State("READ"):