From: Staf Verhaegen Date: Fri, 6 Dec 2019 16:09:26 +0000 (+0100) Subject: Handle stall signal. X-Git-Tag: 24jan2021ls180~51 X-Git-Url: https://git.libre-soc.org/?p=c4m-jtag.git;a=commitdiff_plain;h=9d1d3720d89f602851bec554efb2b5881e88a5db;hp=c5a6fc6f8693dab0f4a2354b0f32043f35a1d13c Handle stall signal. --- diff --git a/rtl/nmigen/jtag.py b/rtl/nmigen/jtag.py index 6a1c7ca..a9e04b5 100755 --- a/rtl/nmigen/jtag.py +++ b/rtl/nmigen/jtag.py @@ -199,7 +199,8 @@ class JTAGWishbone(Elaboratable): wb.stb.eq(1), wb.we.eq(0), ] - m.next = "READACK" + with m.If(~wb.stall): + m.next = "READACK" with m.State("READACK"): m.d.comb += [ wb.cyc.eq(1), @@ -215,7 +216,8 @@ class JTAGWishbone(Elaboratable): wb.stb.eq(1), wb.we.eq(1), ] - m.next = "WRITEREADACK" + with m.If(~wb.stall): + m.next = "WRITEREADACK" with m.State("WRITEREADACK"): m.d.comb += [ wb.cyc.eq(1),