add DMI interface to JTAG TAP
[c4m-jtag.git] / c4m / nmigen / jtag / tap.py
2020-10-09 Luke Kenneth Casso... add DMI interface to JTAG TAP
2020-10-09 Luke Kenneth Casso... fix wishbone optional stall
2020-10-09 Luke Kenneth Casso... add default features over-ride option to wishbone
2020-10-09 Luke Kenneth Casso... whitespace, comments
2020-10-09 Luke Kenneth Casso... whitespace cleanup
2020-10-09 Luke Kenneth Casso... whitespace cleanup
2020-10-09 Luke Kenneth Casso... nmigen explicit imports
2020-01-06 Staf VerhaegenMade nmigen code independent of VHDL code.
2020-01-06 Staf VerhaegenForce passing by name for TAP.add_shiftreg().
2019-12-16 Staf VerhaegenSpecify names for TAP signals.
2019-12-14 Staf VerhaegenMade STATE and NEXT_STATE internal to c4m_jtag_tap_fsm.
2019-12-14 Staf VerhaegenAdd top controller instance from nmigen code.
2019-12-06 Staf VerhaegenSimplify signal generation for TAP wishbone interfaces.
2019-12-06 Staf VerhaegenUse Elif for third m.next assignment.
2019-12-06 Staf VerhaegenUse Wishbone code from nmigen-soc.
2019-12-06 Staf VerhaegenSupport JTAG bus with a reset signal.
2019-12-06 Staf VerhaegenRework ShiftReg and Wishbone elaboration.
2019-12-06 Staf VerhaegenUse the JTAG Interface class as bus.
2019-12-06 Staf VerhaegenGet Wishbone from c4m lib.
2019-12-06 Staf VerhaegenRename JTAG to TAP.
2019-12-06 Staf VerhaegenRenamed jtag.py -> tap.py.